IMEC

Canvas Category OEM : Computer and Electronic

Website | Blog | LinkedIn | Video

Primary Location Leuven, Belgium

Imec is the world’s leading independent nanoelectronics R&D hub. The combination of our talent, infrastructure, and partner network enables breakthroughs towards microchips that are smaller, faster, more affordable, and more sustainable. We combine that nanotech expertise with data and AI to create and support applications for a smarter, better future. Founded in 1984, imec quickly made its name as the leading research hub for advanced CMOS scaling. We will continue to shape the roadmap for the semiconductor industry for years to come. On top of that, we leverage this deep-tech knowhow. And we combine it with software and system knowledge to build up an exceptional portfolio of advanced technologies.

Assembly Line

MICLEDI Microdisplays Raises Series A Funding to Advance Best-in-Class microLED Display Design and Manufacturing

📅 Date:

🔖 Topics: Funding Event

🏢 Organizations: MICLEDI Microdisplays, imec


MICLEDI Microdisplays announced a first closing of its Series A funding round with participation from imec.xpand, PMV, imec, KBC and SFPIM demonstrating strong support for the company’s value proposition and commercial and technological progress achieved in the seed round. Series A follows a significant seed round award and additional non-dilutive funding in the form of grants and other vehicles from VLAIO. This brings the company’s total funding to date to nearly $30 million.

MICLEDI used the seed round to validate a unique 300mm wafer manufacturing methodology, the first in the world at 300mm. Seed funding also enabled the company to prove best-in-class blue and green GAN-based microLED arrays with pixel-by-pixel microlenses in its unique 300mm flow. The company also demonstrated solid red performance using red GAN material with early proof of superior performance based on red AlInGaP. All three colors, R, G, & B, were demonstrated to large audiences at CES 2024 and SPIE AR-VR-MR 2024.

Lord added, “This newest round of funding will be used to expand the team, design and build an active backplane ASIC, and create a fully-functional microLED display module that can be used in glasses for AR displays. In addition, the new funds will help support collaboration agreements with customers and ensure that we keep pace with the growing demand for increasingly immersive video applications from Tier 1 customers and partners in the rapidly growing AR market.”

Read more at Globe Newswire

DNP Develops Photomask Process for 3nm EUV Lithography

📅 Date:

🔖 Topics: Partnership

🏭 Vertical: Semiconductor

🏢 Organizations: imec, Dai Nippon Printing


Dai Nippon Printing Co., Ltd. (DNP) has successfully developed a photomask manufacturing process capable of accommodating the 3-nanometer (10-9 meter) lithography process that supports Extreme Ultra-Violet (EUV) lithography, the cutting-edge process for semiconductor manufacturing. Through joint development with partners, such as imec, DNP will continue to develop more advanced photomasks capable of supporting processes finer than 3nm and even beyond 2nm.

Read more at imec Press

RIKEN and imec sign Memorandum of Cooperation

📅 Date:

🔖 Topics: Partnership

🏢 Organizations: RIKEN, imec


RIKEN and imec signed a Memorandum of Cooperation pledging to explore R&D collaboration opportunities, and exchange knowledge through the organization of joint lectures, seminars and symposia. As a part of this initiative, it is developing the elemental technologies necessary for the development of next-generation semiconductors and pioneering the science of prediction in a wide range of fields, including life sciences, through AI and mathematics.

Read more at imec Press

Imec and ASML sign Memorandum of Understanding (MOU) to support semiconductor research and sustainable innovation in Europe

📅 Date:

🔖 Topics: Partnership

🏢 Organizations: ASML, imec


Imec, a leading research and innovation hub in nanoelectronics and digital technologies, and ASML Holding N.V. (ASML), a leading supplier to the semiconductor industry, today announce that they intend to intensify their collaboration in the next phase of developing a state-of-the-art high-numerical aperture (High-NA) extreme ultraviolet (EUV) lithography pilot line at imec.

The pilot line is intended to help the industries using semiconductor technologies to understand the opportunities that advanced semiconductor technology can bring and have access to a prototyping platform that will support their innovations. The collaboration between imec, ASML and other partners will enable the exploration of novel semiconductor applications, the potential development of sustainable, leading-edge manufacturing solutions for chip makers and end users, as well as the development of advanced holistic patterning flows in collaboration with the equipment and material ecosystem.

Read more at GlobeNewswire

Imec and Rapidus sign Memorandum of Cooperation to collaborate on advanced semiconductor technologies

📅 Date:

🔖 Topics: Partnership

🏭 Vertical: Semiconductor

🏢 Organizations: Rapidus, Imec


Rapidus plans to mass-produce chips with state-of-the-art 2-nanometer technology in Japan in the latter half of this decade. Such advanced chips can be used for 5G communications, quantum computing, data centers, self-driving vehicles, and digital smart cities. Imec intends to support Rapidus in the research and development of leading-edge technology. For this, Rapidus and imec express their intention to set up a strategic partnership, with Rapidus becoming a core partner in imec’s leading advanced nanoelectronics program. The MOC also contemplates collaboration with the nearly established Leading-edge Semiconductor Technology Center (LSTC), which will serve as a research and development hub for beyond 2-nanometer technologies in Japan. With the MOC, all parties subscribe to the importance of worldwide collaboration and regional strengthening of semiconductor ecosystems, in particular the ecosystem in Japan.

Read more at imec Press

Hyperspectral imaging at imec